TOSTING: Investigating Total Store Ordering on ARM

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Authors

  • Lars Wrenger
  • Dominik Töllner
  • Daniel Lohmann
View graph of relations

Details

Original languageEnglish
Title of host publicationArchitecture of Computing Systems
Subtitle of host publication36th International Conference, ARCS 2023, Athens, Greece, June 13–15, 2023, Proceedings
EditorsGeorgios Goumas, Sven Tomforde, Jürgen Brehm, Stefan Wildermann, Thilo Pionteck
PublisherSpringer Science and Business Media Deutschland GmbH
Pages139-152
Number of pages14
ISBN (electronic)978-3-031-42785-5
ISBN (print)9783031427848
Publication statusPublished - 26 Aug 2023
Event36th International Conference on Architecture of Computing Systems, ARCS 2023 - Athens, Greece
Duration: 13 Jun 202315 Jun 2023

Publication series

NameLecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)
Volume13949 LNCS
ISSN (Print)0302-9743
ISSN (electronic)1611-3349

Abstract

The Apple M1 ARM processors incorporate two memory consistency models: the conventional ARM weak memory ordering and the total store ordering (TSO) model from the x86 architecture employed by Apple’s x86 emulator, Rosetta 2. The presence of both memory ordering models on the same hardware enables us to thoroughly benchmark and compare their performance characteristics and worst-case workloads. In this paper, we assess the performance implications of TSO on the Apple M1 processor architecture. Based on various workloads, our findings indicate that TSO is, on average, 8.94% slower than ARM’s weaker memory ordering. Through synthetic benchmarks, we further explore the workloads that experience the most significant performance degradation due to TSO.

Keywords

    Apple M1, Memory Ordering, TSO

ASJC Scopus subject areas

Cite this

TOSTING: Investigating Total Store Ordering on ARM. / Wrenger, Lars; Töllner, Dominik; Lohmann, Daniel.
Architecture of Computing Systems: 36th International Conference, ARCS 2023, Athens, Greece, June 13–15, 2023, Proceedings. ed. / Georgios Goumas; Sven Tomforde; Jürgen Brehm; Stefan Wildermann; Thilo Pionteck. Springer Science and Business Media Deutschland GmbH, 2023. p. 139-152 (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); Vol. 13949 LNCS).

Research output: Chapter in book/report/conference proceedingConference contributionResearchpeer review

Wrenger, L, Töllner, D & Lohmann, D 2023, TOSTING: Investigating Total Store Ordering on ARM. in G Goumas, S Tomforde, J Brehm, S Wildermann & T Pionteck (eds), Architecture of Computing Systems: 36th International Conference, ARCS 2023, Athens, Greece, June 13–15, 2023, Proceedings. Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), vol. 13949 LNCS, Springer Science and Business Media Deutschland GmbH, pp. 139-152, 36th International Conference on Architecture of Computing Systems, ARCS 2023, Athens, Greece, 13 Jun 2023. https://doi.org/10.1007/978-3-031-42785-5_10
Wrenger, L., Töllner, D., & Lohmann, D. (2023). TOSTING: Investigating Total Store Ordering on ARM. In G. Goumas, S. Tomforde, J. Brehm, S. Wildermann, & T. Pionteck (Eds.), Architecture of Computing Systems: 36th International Conference, ARCS 2023, Athens, Greece, June 13–15, 2023, Proceedings (pp. 139-152). (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); Vol. 13949 LNCS). Springer Science and Business Media Deutschland GmbH. https://doi.org/10.1007/978-3-031-42785-5_10
Wrenger L, Töllner D, Lohmann D. TOSTING: Investigating Total Store Ordering on ARM. In Goumas G, Tomforde S, Brehm J, Wildermann S, Pionteck T, editors, Architecture of Computing Systems: 36th International Conference, ARCS 2023, Athens, Greece, June 13–15, 2023, Proceedings. Springer Science and Business Media Deutschland GmbH. 2023. p. 139-152. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)). doi: 10.1007/978-3-031-42785-5_10
Wrenger, Lars ; Töllner, Dominik ; Lohmann, Daniel. / TOSTING : Investigating Total Store Ordering on ARM. Architecture of Computing Systems: 36th International Conference, ARCS 2023, Athens, Greece, June 13–15, 2023, Proceedings. editor / Georgios Goumas ; Sven Tomforde ; Jürgen Brehm ; Stefan Wildermann ; Thilo Pionteck. Springer Science and Business Media Deutschland GmbH, 2023. pp. 139-152 (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)).
Download
@inproceedings{add5242e527c49deb6540df240f47d22,
title = "TOSTING: Investigating Total Store Ordering on ARM",
abstract = "The Apple M1 ARM processors incorporate two memory consistency models: the conventional ARM weak memory ordering and the total store ordering (TSO) model from the x86 architecture employed by Apple{\textquoteright}s x86 emulator, Rosetta 2. The presence of both memory ordering models on the same hardware enables us to thoroughly benchmark and compare their performance characteristics and worst-case workloads. In this paper, we assess the performance implications of TSO on the Apple M1 processor architecture. Based on various workloads, our findings indicate that TSO is, on average, 8.94% slower than ARM{\textquoteright}s weaker memory ordering. Through synthetic benchmarks, we further explore the workloads that experience the most significant performance degradation due to TSO.",
keywords = "Apple M1, Memory Ordering, TSO",
author = "Lars Wrenger and Dominik T{\"o}llner and Daniel Lohmann",
year = "2023",
month = aug,
day = "26",
doi = "10.1007/978-3-031-42785-5_10",
language = "English",
isbn = "9783031427848",
series = "Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)",
publisher = "Springer Science and Business Media Deutschland GmbH",
pages = "139--152",
editor = "Georgios Goumas and Sven Tomforde and J{\"u}rgen Brehm and Stefan Wildermann and Thilo Pionteck",
booktitle = "Architecture of Computing Systems",
address = "Germany",
note = "36th International Conference on Architecture of Computing Systems, ARCS 2023 ; Conference date: 13-06-2023 Through 15-06-2023",

}

Download

TY - GEN

T1 - TOSTING

T2 - 36th International Conference on Architecture of Computing Systems, ARCS 2023

AU - Wrenger, Lars

AU - Töllner, Dominik

AU - Lohmann, Daniel

PY - 2023/8/26

Y1 - 2023/8/26

N2 - The Apple M1 ARM processors incorporate two memory consistency models: the conventional ARM weak memory ordering and the total store ordering (TSO) model from the x86 architecture employed by Apple’s x86 emulator, Rosetta 2. The presence of both memory ordering models on the same hardware enables us to thoroughly benchmark and compare their performance characteristics and worst-case workloads. In this paper, we assess the performance implications of TSO on the Apple M1 processor architecture. Based on various workloads, our findings indicate that TSO is, on average, 8.94% slower than ARM’s weaker memory ordering. Through synthetic benchmarks, we further explore the workloads that experience the most significant performance degradation due to TSO.

AB - The Apple M1 ARM processors incorporate two memory consistency models: the conventional ARM weak memory ordering and the total store ordering (TSO) model from the x86 architecture employed by Apple’s x86 emulator, Rosetta 2. The presence of both memory ordering models on the same hardware enables us to thoroughly benchmark and compare their performance characteristics and worst-case workloads. In this paper, we assess the performance implications of TSO on the Apple M1 processor architecture. Based on various workloads, our findings indicate that TSO is, on average, 8.94% slower than ARM’s weaker memory ordering. Through synthetic benchmarks, we further explore the workloads that experience the most significant performance degradation due to TSO.

KW - Apple M1

KW - Memory Ordering

KW - TSO

UR - http://www.scopus.com/inward/record.url?scp=85171469703&partnerID=8YFLogxK

U2 - 10.1007/978-3-031-42785-5_10

DO - 10.1007/978-3-031-42785-5_10

M3 - Conference contribution

AN - SCOPUS:85171469703

SN - 9783031427848

T3 - Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)

SP - 139

EP - 152

BT - Architecture of Computing Systems

A2 - Goumas, Georgios

A2 - Tomforde, Sven

A2 - Brehm, Jürgen

A2 - Wildermann, Stefan

A2 - Pionteck, Thilo

PB - Springer Science and Business Media Deutschland GmbH

Y2 - 13 June 2023 through 15 June 2023

ER -